电子通信论文
[温度测量与控制电路]《电子技术》课程设计报告题 目 学院(部) 专 业 班 级学生姓名学
温度测量与控制电路
[温度测量与控制电路]

《电子技术》课程设计报告

题 目 学院(部) 专 业 班 级

学生姓名

学 号 月日至月 日 共周

指导教师(签字)

温度测量与控制电路广泛应用于生产生活中的各个方面,特别是在工业生产中,温度自动控制已经成为一个相当成熟的技术,

温度测量与控制电路

[智库|专题]。本次课程设计给我们创造了良好的学习机会:一是查阅资料将自己所学的数字电子技术,模拟电子技术,以及传感器的相关知识综合运用,二是系统了解温度监测特别是工业上的温度控制的详细过程,为日后的学习和工作增长知识,积累经验。

在确定课设题目,经仔细分析问题后,实现温度的测量与控制方法很多,大致可以分为两大类型,一种是以单片机为主的软硬件结合方式,另一种是用简单芯片构成实现电路。由于单片机知识的匮乏,我们决定用后者实现。共同确定了总的电路结构,将设计分为三部分,陈涛负责温度传感部分,孙文涛负责温度显示和温度范围控制部分,张晓阳负责温度控制执行电路和声光报警部分。温度传感部分由热电偶构成的温度传感器,数字显示和设定控制部分由模数转换器AD574A、281024 CMOS EEPROM、锁存器74LS175等组成,声光报警和温控加热降温执行电路主要用时基芯片555构成的多谐振荡器和单稳态电路组成。在确定了单元电路的设计方案后,我们在总结出总体方案框图的基础上,应用Multisim11.0仿真软件画出了各单元模块电路图,最后汇总电路图。

由于缺少实践经验,并且知识有限,所以本次设计中难免存在缺点和错误,敬请老师批评指正。

设计者

2010年6月20日

课题名称 „„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„ 1 摘要 „„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„ 1 关键词 „„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„ 1 设计要求 „„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„ 1 正文 „„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„ 1

一、系统概述和总体方案论证与选择„„„„„„„„„„„„„„„„„„„„„„„„„ 1

二、单元电路设计„„„„„„„„„„„„„„„„„„„„„„„„„„„第一文库„„„„„„ 2

(一)温度传感模块„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„ 3 (二)数字显示与温度范围控制模块„„„„„„„„„„„„„„„„„„„„„„„ 3 1、方案的论证与选择„„„„„„„„„„„„„„„„„„„„„„„„„„„„ 3

2、AD转换与解码 „„„„„„„„„„„„„„„„„„„„„„„„„„„„„ 8

3、译码显示„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„10

4、控制温度设定„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„11

5、温度超限判断„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„12

6、多路温度循环检测功能„„„„„„„„„„„„„„„„„„„„„„„„„„13

7、方案的优点与缺点以及改进„„„„„„„„„„„„„„„„„„„„„„„„14

(三)声光报警„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„15 (四)温度控制执行„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„15

三、总体电路图 „„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„16

四、结束语 „„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„16

五、参考文献 „„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„17

六、元器件明细 „„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„17

七、收获体会 „„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„27

八、鸣谢 „„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„27 附录 „„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„27 教师评语 „„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„28

【课题名称】温度测量与控制电路

【摘要】

温度测量与控制电路是在实际应用中相当广泛的测量电路。本次设计主要运用基本的模拟电子技术和数字电子技术的知识,从基本的单元电路出发,实现了温度测量与控制电路的设计。总体设计中的主要思想:一、达到设计要求;二、尽量应用所学知识;三、设计力求系统简单可靠,有实际价值。温度传感采用热电偶和温度补偿原理。AD转换部分使用集成芯片AD574A;二进制到8421BCD码的转换用EEPROM 281024实现;显示译码部分用74LS48和数码管实现;温度控制范围设定采用数字设定方式,用74LS160十进制加计数器和锁存器74LS175实现;温度的判断比较数值比较器74LS85的级联实现;通过使用74LS160和ADG508F实现了多路温度循环监测功能。声光报警加入了单稳态。温度控制执行部分采用555构成的单稳态电路,提高了加热系统与降温系统的稳定性和实用性。

【关键词】:温度传感器 A/D转换 控制温度 声光报警 二进制转BCD 译码显示

【设计要求】

1. 测量温度范围为200C~1650C,精度0.50C;

2. 被测量温度与控制温度均可数字显示;

3. 控制温度连续可调;

4. 温度超过设定值时,产生声光报警

【正文】

一、系统概述和总体方案论证与选择

方案A.

如图1-1所示,温度传感器部分将温度线性地转变为电压信号,经过滤波放大,一路输入A/D转换电路,经过译码进行数字显示,另一路与滑变分压经过电压比较器进行比较输出高低电平指示信号,温度控制执行模块和声光报警部分。

图1-1 总体设计方案A框图

方案B.

如图1-2所示,温度传感和A/D转换,译码显示,温控执行和报警均与方案A相同,不同处在于控制温度设定方式和温度超限判断方式。方案A的超限判断模块和控制温度设定主要使用模拟信号,该方案易受外界干扰如使用环境温度等因素,另外由滑变设定温度不易调节精确,实际中,若采用电池供电,电源电压的变化会影响其温控范围的准确性。方案B主要采用数字芯片逻辑控制实现,其工作的稳定性准确性和功能扩展性较强。

图1-2 总体设计方案B框图

二、单元电路设计

(一)温度传感模块

如图2-1-1所示,温度传感把模块把温度大小转化为电压信号,传入数字显示与温度范围控制模块。使用时将热电偶的热端(工作端)放入被测量的环境中,注意连接导线选用阻值受温度影响小的材料,且有良好的绝缘材料包裹。长时间使用后可对电路进行校准,在标准温度下,测量输出电压值,并通过调整滑动变阻器进行校准。其输出电压Uo(V)和温度T(℃)的关系式为Uo=0.02384*T。

图2-1-1

温度传感模块电路图

如图1-2所示,温度传感和A/D转换,译码显示,温控执行和报警均与方案A相同,不同处在于控制温度设定方式和温度超限判断方式。方案A的超限判断模块和控制温度设定主要使用模拟信号,该方案易受外界干扰如使用环境温度等因素,另外由滑变设定温度不易调节精确,实际中,若采用电池供电,电源电压的变化会影响其温控范围的准确性。方案B主要采用数字芯片逻辑控制实现,其工作的稳定性准确性和功能扩展性较强。

图1-2 总体设计方案B框图

二、单元电路设计 (一)温度传感模块

如图2-1-1所示,温度传感把模块把温度大小转化为电压信号,传入数字显示与温度范围控制模块。使用时将热电偶的热端(工作端)放入被测量的环境中,注意连接导线选用阻值受温度影响小的材料,且有良好的绝缘材料包裹。长时间使用后可对电路进行校准,在标准温度下,测量输出电压值,并通过调整滑动变阻器进行校准。其输出电压Uo(V)和温度T(℃)的关系式为Uo=0.02384*T。

图2-1-1

温度传感模块电路图

(二)数字显示与温度范围控制模块 1、方案的论证与选择

经分析,数字显示与温度范围控制模块的核心主要有两部分: (1)A/D转换和码制转换部分

(2)温度范围设定与温度超限行为判断部分 每部分分别有两种方案: (1) A/D转换部分 方案A.

首先要把温度传感器的电压信号转换成频率不同的矩形波信号。如图2-2-1所示,电压/频率转换电路由一只运算放大器和一只555以及少量电阻和电容组成,运算放大器部分作成差分积分电路,同相输入端是由555的3脚输出端反馈加来的,由于555的触发电平是1/3VCC,因此当输入电压信号Ui在1/2VCC内变化时,该电路的输入电压Ui和输出的矩形波的频率f0具有良好的线性关系(由于该方案最终未被采纳,只给出V/F对应关系表作为参照,见表1,该电路的具体原理和Ui~f0线性关系的详细计算和在此不再赘述)。

图2-2-1 555和差分积分放大电路构成的V/F转换器

V/F转换关系对应表 表1

V/F转换器输出的频率不同的矩形波信号要转化成可数字显示的BCD码,如图2-2-2所示,频率---8421BCD码的转换由4片同步十进制加法器74LS160实现,第(1)片74LS160的RCO进位输出接第(2)片的CLK时钟信号输入端,当第(1)片74LS160计数器进位时,第(2)片74LS160加1,第(2)片再向第(3)片74LS160进位,以此类推,4组QDQCQBQA分别为百位、十位、个位、小数位,分别经过4片锁存器74LS175,接到4片七段数字显示译码器74LS48,再连接数码管即可实现温度的十进制数显示。

图2-2-2 频率信号转BCD码

把4片锁存器74LS175的CLK时钟端接在一起,引出节点①。4片74LS160的清零端接在一起,引出节点②。V/F转换器的频率信号经过图2-2-4中的与门“U2A”进入③。节点①和②的波形关系需满足如图2-2-3所示关系,即满足“先锁存,后清零”,这样,在“计数开始”(②的上升沿)到“锁存器状态翻转”(①的上升沿)的这段时间t内,通过的波形周期数就等于数码管上显示的数字。时间t的大小可以通过调节图2-2-4中的R、C参数来调整,t =0.7R2C1≈0.49s,使其在这段时间内恰巧通过一定的周期数,就可以使计数器计数到该温度并显示出来。比如,当温度为10.0℃时,V/F转换电路产生一定频率的矩形波,在指定的时间t内,使其恰巧通过100个完整波形,四片计数器的输出状态为0000 0001 0000 0000,即可译码显示为“10.0”代表10.0℃

图2-2-3 方案A中 节点①和②的波形关系

该波形由图2-2-4所示电路产生。电容C3起到消除竞争与冒险的作用,没有C3,可能使锁存器锁入数据0000 0000 0000 0000,数码管上会显示0.0 (℃)。并引起错误报警,和温控执行电路的不合理启动。

图2-2-4 方案A 清零信号,计数信号,锁存信号产生电路

综上所述,该电路工作过程复杂,虽然理论上可行,也通过了在Multisim中的模拟检测,但是在实际中,图2-2-4中的RC参数也都会受到温度影响,造成测量温度不准确,如果电容C3短路,更可能造成误报警和错误加热操作的不良后果。另外,该电路的功耗太大,不利于实际应用。所以,最终放弃了该方案。 方案B

采用集成芯片AD574A 作为模数转换芯片,AD574A 是美国模拟数字公司(Analog )推出的单片高速12位逐次比较型A/D转换器,内置双极性电路构成的混合集成转换显片,具有外接元件少,功耗低,精度高等特点,并且具有自动校零和自动极性转换功能,只需外接少量的阻容件即可构成一个完整的A/D 转换器。AD574A可以把电压信号转换成二进制数,但是二进制数并不能直接在数码管上显示,所以AD574A输出的二进制数到8421BCD码的转换成为该方案的核心问题,经过查阅大量资料,最终决定采用281024 CMOS EEPROM实现二进制到8421BCD码的,其电路连接简单,转换效率高,功耗低,出错率低。

综上所述,B方案工作稳定性强,不易出错,所以采用B方案。具体电路和实施方案见后文“2.AD转换与解码”。AD574A的详细资料见“元器件明细”。

(2)控制温度设定与温度超限行为判断部分有两种方案:

A.如图2-2-5所示,通过旋动滑动变阻器获得不同的分压代表相应的的温度值,分压一路通过AD转换显示设定温度,一路经过电压比较器和温度传感模块输出的Ui进行比较,输出高低电平指示信号控制报警和温控执行模块。该方式虽然简便可行,但其受外界环境干扰较大,当实际温度在控制温度附近时,有可能由于其他干扰比如滑动变阻器上的电压若有电池提供,其输出电压会随着使用时间的增加而变化,无人值守时,设定的温度会随着电池电压的减小而变化,达不到理想的温控效果,温度控温精度并不高。

图2-2-5 控制温度设定与温度超限行为判断方案A思路框图

B.采用数字设定方式。如图2-2-6所示:通过计数器精确设定温控范围,以8421BCD码的形式保存到锁存器中,经过级联的数值比较器与EEPROM输出的代表温度的8421BCD码进行比较,

资料大全

《温度测量与控制电路》(http://www.lp1901.com)。来判定温度是否超限,由数值比较器输出高低电平作为指示信号控制报警和温控执行电路。

综上所述,B方案 温度设定简单方便,控制更加精确,工作稳定性更好。所以采用B方案。

图2-2-6 控制温度设定与温度超限行为判断方案B思路框图

B方案的具体实施方案和具体电路图见后文“4、控制温度设定”“5、温度超限判断”部分

最终确定的总体思路框图如图2-2-7:

图2-2-7 最终确定总方案框图

2、AD转换与解码

AD转换:

如图2-2-8所示电路,为提高精度,采用AD574A的12位转换模式,其与温度传感器部分的连接方法是:AGND端接,传感器部分的模拟地端,Ui接传感器的输出电压。REF IN端为参考电压输入(通过调节滑动变阻器0~10V可调,用于校准。)

当输出的二进制码为1111 1111 1111,换算为十进制数是4095,经过码制转换后,在数码显示管上即显示数字409.5。代表409.5℃。

由于传感器部分的输出电压Ui满足,Ui=kT (T代表温度,单位:℃)即满足k V/℃

所以参考电压UREF IN的取值需要满足UREF IN=k*409.5,

UREF IN的可以通过图2-2-8中所示滑动变阻器R1调节。

R/C端由555和少量阻容元件构成的多谐振荡器,R6=140kΩ,R7=3kΩ,C5=10μF

555的3脚为输出端,其高电平持续时间T1=0.7(R6+R7)C5≈1s,低电平持续时间T2=0.7(R7C5)≈21ms。 输出低电平时,AD574A处于转换状态,转换时间需要约25μs,T2>>25μs,所以转换器有充分的时间进行转换,保证了转换数据的准确性,满足要求。输出高电平时,在该电路中AD574A处于12位数据并行读取状态,其转换的二进制数据被传送到EEPROM中。

码制的转换——12位二进制数转8421BCD码:

通过对电可擦写只读存储器(EEPROM)281024进行编码,实现二进制数码到BCD码的变换。即把4096个温度值的二进制数据位当作源码作为存储器EEPROM的地址码,把需要转换的8421BCD码作为 “目的”码写入地址对应的存储器EEPROM内部单元。使用时,当AD转换器采集到不同电压信号时,把转换后的二进制码迭到EEPROM的地址位,那么与此地址相对应的输出数据就是所求的8421BCD码格式,从而完成了4096个二进制码温度值到8421BCD码的转换。该4096个温度值的数字解码器是四位数显示,所以选用有16个位线的281024 EEPROM,实际中,也可根据制造的成本视情况选择两片8个位线的EEPROM(如:27C32)进行位线扩展,扩展成16位。

低12位A0~A11接对应的AD574A的二进制输出端,高4位A12~A15均接地。D0~D3,D4~D7,D8~D11,D12~D15分别输出小数位、个位、十位、百位的8421BCD码。接到译码显示模块74LS48即可把BCD码转换成七段a~g显示驱动信号,在LED数码管上进行十进制显示。

存储器281024地址和数据对应写入单元数据如表2:

表2 存储器281024地址和数据对应写入单元数据

281024的详细工作参数见“元器件明细”。

图2-2-8 AD转换与二进制转8421BCD码电路图

3、译码显示

百位、十位、个位、小数位共4组16位8421BCD码依次输入4片74LS48即可把BCD码转换成七段a~g显示驱动信号,在LED数码管上进行十进制显示。接法如图2-2-9所示,

U4、U5、U7为7段数码管,U6为8段数码管,4个数码管共阴极均接地。U6的h脚通过180Ω电阻接+5V电源,显示小数点。这样,温度值即可在数码管上十进制显示。

图2-2-9译码显示电路图

4、控制温度设定

如图2-2-10所示,温度设置装置由4片十进制加法计数器74LS160构成,且均处于计数状态。4个CLK时钟端均分别接一个按钮式开关,其弹起时接+5V高电平,按下时接地处于低电平,当进行设置时,“启动温度设置”处于高电平(有专门开关控制,图中未画出,见总电路图),通过按动开关即可手动使计数器计数,控制百位、十位、个位、小数位的数字。其数据输出端共有两个去向,

去向1:接译码显示电路即可实时显示设定数值的变化,与门7408的作用是控制计数器的数据输出,“启动温度设置”处于高电平时,计数器数据可以输出到译码器;“启动温度设置”处于低电平时,阻断了计数器数据向译码显示电路输出。

去向2:接锁存器。图中共有8片4位锁存器74LS175,每4片为一组分别储存温度上限和温度下限的8421BCD码。记录上限的4片锁存器的CLK时钟端和记录下限的4片锁存器的CLK时钟端分别接在一起引向两个按钮式开关,一个是“锁定温度上限”按钮,另一个是“锁定温度下限”按钮。开关常态接地,按下时接+5V高电平,锁存器锁入数据。锁存器的输出端接数值比较器,比较实际温度和设定值的大小关系。

图2-2-10

5、温度超限判断

如图2-2-11所示,共有8片数值比较器74LS85(其功能表见附录),(1)~(4)片级联用于比较监测温度和设定的温度上限的大小,(5)~(8)片用于比较监测温度和设定的温度下限的大小。其级联方式和数据输入方式如图所示:

当测量温度低于设定的温度下限时,(5)片的OALTB(A

当测量温度高于设定的温度上限时,(4)片的OAGTB(A>B)端输出高电平启动报警电路和降温电路,高温报警指示灯发出红光。

两个与门U10A和U17A的作用是控制后续的温控执行和报警电路的开启或关闭。

或门U9A的作用是将高温超限报警信号和低温超限报警信号进行或运算。当有其一超限时,就会启

控制温度设定装置电路图

动报警电路。

图2-2-11

6、多路温度循环检测功能

如图2-2-12所示:由555和阻容元件构成的多谐振荡器,其产生的矩形波周期可可通过改变滑动变阻器A调节(5s~10s),其输出接74LS160的CLK时钟端,图中74LS160处于计数状态,其低三位QCQBQA依次产生000,001,010,011,100,101,110,111八个状态,一路输入模拟开关ADG508F的地址端A2A1A0,这样,模拟开关将会依次导通S1~S8,从D端输出到模数转换器。其通道切换频率由时钟信号频率决定。实现了8路温度的循环监测。另一路输入译码显示电路,显示当前所监视的线路。 温度超限判断电路图

图2-2-12

7、方案的优点与缺点以及改进

(1) 图2-2-10中的各与门都是起控制信号通断的作用,不如将其替换为模拟开关(如图22-2-13)实现信号的切断和导通,并且省去了与译码显示部分连接的或门(单元图中未画出,详见总电路图),使电路结构进一步简化,变得更可靠,使性能更加稳定,功耗更低。

(2) 由555和阻容元件组成的多谐振荡器可以采用集成芯片实现,由于555的功耗相对较大,采用集成芯片更有利于节省电能,延长使用时间。 多路温度检测功能电路图

图2-2-13

该模块的最终整合电路图见附录1

(三)声光报警

如图2-3-1所示,当输入信号为低电平时,报警电路不工作。当有高电平信号输入时,模拟开关闭合,多谐震荡电路开始工作。发光二级管闪烁,并发出蜂鸣报警。报警时蜂鸣的频率和发光二极管闪烁的频率均为2Hz,作用的占空比为58.3%。

模拟开关电路

图2-3-1

(四)温度控制执行

如图2-4-1所示,温控执行电路由当输入信号为低电平时,加热或降温电路不工作。当有高电平信号输入时,加热电路进入暂稳态,3脚输出高电平,继电器吸合,启动加热降温设备进行加热和降温操作。1~10分钟后(可根据实际情况通过滑动变阻器R3,R4调整),若温度仍低于或高于设定温度,电路不能复位,3脚仍输出高电平,加热或降温操作继续进行。若温度回到设定范围内,电路即复位回到稳定态,3脚输出低电平,继电器断开,加热或降温操作停止。 声光报警电路图

图2-4-1

三、总体电路图

限于篇幅和纸张大小,见附录2

四、结束语

本次温度测量与控制电路的设计主要内容如上所述,在此次设计中运用到的知识大多数为课本所学。设计中难免有缺点和漏洞,真诚希望老师指导,以求改进。

本次设计中有如下几个难点:

一是12位的A/D转换电路,在这一部分的设计中我们查阅了大量资料,最后决定用AD574A模数转换器,这样以来不仅实现对于模拟信号的数字转换,同时也提高了精度的要求。

二是如何将12位二进制数转换成8421BCD码的问题,经过查阅大量资料并研究决定用EEPROM电可擦写存储器来完成这个功能,具体电路图和转换关系如上所述。

三是温度的设定和朝鲜判断,技术要求中提到输入温度连续可调,我们开始拟采用滑动变阻器控制,使滑动变阻器的分压参与电路中的信号处理,由于其种种缺点,最终放弃了该方案。使用计数器、寄存器、数值比较器、译码显示装置、实现了将控制温度直接以直观的数字量直接输入,并以8421BCD码的形式输入数值比较器进行温度判定,也实现了输入温度的连续可调,而且极大地提高了准确度、直观性

加热降温执行电路图

和易用性。

以上即是对本次设计中的主要问题的讨论与解决方案,敬请老师给予指正,以求得更好的解决方法。 五、参考文献

1.《传感器原理与应用》 程德福 王君 凌振宝 王言章 编著

机械工业出版社 2008年1月第一版

2.《数字电路设计手册》 荀殿栋 徐志军 编著

电子工业出版社 2003年7月第一版

3.《Multisim8仿真与应用实例开发》 从宏寿 程卫群 李绍鉊 编著

清华大学出版社 2007年7月第一版

4.《电子线路 设计与实践》 姚福安 主编

华中电子科技大学出版社 2001年第一版

5.《基础电子电路设计与实践》 戴伏生 主编

国防工业出版社 2004年4月第一版

6.《模拟电子技术基础》 陈大钦 主编

机械工业出版社 2006年4月第一版

7.《数字电子技术》 James Bignell Robert Donovan 编著

科学出版社 2005年2月第一版

8.《新编电子控制电路300例》 刘修文 等编

机械工业出版社

9.《数字单元电路 转换电路 分册》 梁廷贵

主编

科学技术文献出版社

六、元器件明细

1.AD574A

AD574A 是美国模拟数字公司(Analog )推出的单片高速12 位逐次比较型A/D 转换器,内置双极性电路构成的混合集成转换显片,具有外接元件少,功耗低,精度高等特点,并且具有自动校零和自动极性转换功能,只需外接少量的阻容件即可构成一个完整的A/D 转换器,其主要功能特性如下:

分辨率:12 位

非线性误差:小于±1/2LBS 或±1LBS 转换速率:25us

模拟电压输入范围:0—10V 和0—20V,0—±5V 和0—±10V 两档四种

电源电压:±15V 和 5V 数据输出格式:12 位/8 位

芯片工作模式:全速工作模式和单一工作模式

图6-1

AD574A引脚图

AD574A 的引脚说明:

[1]. Pin1(V Logic)——逻辑电源+5V电源输入端。

[2]. Pin2(12/8 )——数据模式选择端,通过此引脚可选择数据纵线是12位或8位输出。 [3]. Pin3(CS )——片选端,低有效。

[4]. Pin4(A0)——字节地址短周期控制端。与12/8端用来控制启动转换的方式和

数据输出格式。

[5]. Pin5( R/C)——读转换数据控制端。 [6]. Pin6(CE)——使能端,高有效。

[7]. Pin7(V+)——正电源输入端,输入+15V电源。 [8]. Pin8(REF OUT)——10V 基准电源电压输出端。 [9]. Pin9(AGND)——模拟地端。

[10]. Pin10(REF IN)——基准电源电压输入端。 [11]. Pin11(V-)——负电源输入端,输入-15V 电源。

[12]. Pin12(BIP OFF)——单极性输入时BIP OFF接模拟公共地,双极性时BIP OFF接对应[13]. Pin13(10V IN)——单极性0~10 V模拟量输入;双极性0~ ±5 V模拟量输入。 [14]. Pin14(20V IN)——单极性0~20 V模拟量输入;双极性0~ ±10 V模拟量输入. 。

-5V、-10V 的

[15]. Pin15(DGND)——数字地端。

[16]. Pin16—Pin27(DB0—DB11)——12 条数据总线。通过这 12 条数据总线向外输出A/D 转换数据。 [17]. Pin28(STS)——工作状态指示信号端,当 STS=1 时,表示转换器正处于转换状态,当

STS=0

时,声明A/D 转换结束,通过此信号可以判别A/D转换器的工作状态,作为 单片机的中断或查询信号之用。

AD574真值表

2.281024 1M COMS EEPROM(65536X16) A0~A15:地址输入端 D0~D15:数据输出端

图6-2

281024真值表

281024管脚图

3.74LS160D

图6-3

74LS160D真值表

4.ADG508F

74LS160D管脚图

图6-4

ADFG508F真值表

ADG508F管脚图

5.74LS48

图6-5

74LS48真值表

74LS48管脚图

6.74LS175D

图6-6

74LS175D功能表

74LS175D管脚图

7.74LS85N

图6-7

74LS85N管脚图

74LS85

真值表

8.K型热电偶

K型热点偶的电压与温度关系为:U=0.226T-0.707 K型热电偶所需的温度补偿电压为:41.269μV/K

图6-8 热电偶的特性曲线 9.LT1025

图6-9 LT1025参数图

图6-9 LT 1025 管脚图

10.ADG202

图6-10 ADG202的管脚图和真值表

七、收获体会

这次课程设计是我第一次接触到的将理论知识运用于实际的实践活动。通过对模拟电子技术、数字电子技术等课程的学习,对自己亲自设计一个综合性的系统充满了期待与渴望。我在这次课设中选择了温度测量与控制这一题目,感到这个题目综合性强,应用广,对设计者的要求也较高,因此,碰到的难度也较大,但本着求知与实践应用的精神,我最终将这个题目的大概框架整理出来,其中有许多不尽人意之处及不理想的地方还很多,这也是我今后学习的方向和动力。本次设计中,我主要负责温度设定和超限比较环节,显示电路是这次设计中花力气最大的环节,它首先需要将模拟量转化为数字量,再将其变为8421BCD码,这一过程实现有很多方法,如利用单片机等,然后就可以用数码管显示了。控制电路是这次设计的核心环节,它的实现方法也有很多种,设计中也拟定了两种方法,他们之间的优劣也有表述,关键是我从中了解了相关控制的多种方法,为今后设计控制电路做足准备。超限比较电路和循环检测功能是我这次设计中的一个亮点,利用数值比较器和锁存器来判定温度超限,由于要求中是在温度在20~165摄氏度之间,因此比20小或大于165的都将引发报警,这是需要注意的。最后,通过这次课程设计让我获益良多。另外,本次设计中,很多环节都可以用单片机实现,这更激起了我学习单片机的强烈愿望。从中我认识到了各课程学习的重要与应用之处,为今后学习指明方向,也大大的提高的系统学习电子技术的兴趣。同时,在设计中我尝试使用了Multisim 11.0软件,发现了这个软件的强大,做了很多测试,强化了对已学知识的理解,较为熟练的学会了该软件的应用,为我今后设计及学习和电路设计提供了有效的工具。

八、鸣谢

感谢学院为我们安排这一次课程设计,使我们得到一个学习和锻炼的机会。

感谢老师的辛勤指导,使我们抓住解决问题的关键,从而顺利完成课程设计。

感谢同组同学的团结合作,以及其他同学给与的帮助,使我们的课程设计得以圆满完成。

【附录】

附录1 温度显示与温度范围控制模块电路图

附录2 总体电路图

【教师评语】

【附件】

附件一:图2-2-1数字显示与温度范围控制模块,(可右键另存为,然后放大观看)

附件二:总电路图,(可右键另存为,然后放大观看)

温度测量与控制电路

http://m.nmgzasp.com/lw/43564/

推荐访问:
相关阅读电子通信论文 
热点推荐